DMCA
Supervised by (2013)
Citations
518 | The parsec benchmark suite: characterization and architectural implications
- BIENIA, KUMAR, et al.
- 2008
(Show Context)
Citation Context ...3D-MPSoCs. The EDP and temperature profile are calculated for 2-tier and 4-tier configuration for the multi-threaded PARSEC benchmarks. 42 4.2.5 PARSEC Benchmarks The multi-threaded PARSEC benchmarks =-=[4]-=- was jointly developed by Intel and Princeton university. The PARSEC benchmarks are developed from real-time application such as computer vision, engineering, animation etc. The PARSEC benchmarks are ... |
192 | McPAT: An Integrated Power, Area, and Timing Modeling Framework Formulticore and Manycore Architectures.
- Li, Ahn, et al.
- 2009
(Show Context)
Citation Context ...rser NVM PowersTracer NVSim Stats.txt Config.ini Power.xml Figure 4.7: Design-flow of Run time simulation framework used for the investigation of emerging NVMs in 3D-MPSoCs PAT power simulator. McPAT =-=[39]-=- models dynamic power, sub-threshold leakage and gate leakage power for 3D-MPSoC architecture. NVM power tracer calculates the NVM L2 cache power using the power values obtained from McPAT and NVSim. ... |
113 | The gem5 simulator.
- Binkert, Beckmann, et al.
- 2011
(Show Context)
Citation Context ... to simulate the power, performance and thermal profiles of 3D-MPSoCs. The general flow of 39 run-time thermal and performance simulation framework developed in this work is shown in Figure 4.7. Gem5 =-=[5]-=- is used an architectural simulation platform for the proposed 3DMPSoC architecture. The architectural configuration and cache access latencies used in the simulation are shown in Table 4.5. The cache... |
105 | A ThermalDriven Floorplanning Algorithm for 3D ICs,"
- Cong, Wei, et al.
- 2004
(Show Context)
Citation Context ...ng the high heat dissipation in 3D-ICs [57]. Design-time thermal management aims at achieving a thermal-aware 3D-IC floorplan design using methods such as floorplanning, TSV and thermal-via placement =-=[16, 17]-=-. Run-time thermal management involves continuous monitoring and controlling of the temperature during the run-time. Methods such as task scheduling, task migration and dynamic voltage frequency scali... |
57 |
Simulated annealing,
- Bertsimas, Tsitsiklis
- 1993
(Show Context)
Citation Context ...tion space. SA algorithm uses annealing process of metals, which assume low energy equilibrium when slowly cooled from high temperatures [55]. The pseudo code for SA algorithm is shown in Algorithm 1 =-=[2]-=-. SA algorithm consist of current (S), temporary (Stemp) and best (Sbest) solutions. The current solution is updated at each iteration using random assignment. The temporary solution is updated when i... |
55 |
Meta-heuristics: theory and applications,”
- Osman, Kelly
- 1996
(Show Context)
Citation Context ...rative process to optimize a combinational problem and improve the solution through successive iterations. In practice, the combinational problems such as arrangement, grouping, ordering or selection =-=[51]-=- have a very large solution space. An exhaustive search to find the most optimal solution to these problems requires extremely large amount of time and is sometimes infeasible [55]. Hence, these meta-... |
50 | Thermal-driven multilevel routing for 3d ics,”
- Cong, Zhang
- 2005
(Show Context)
Citation Context ...ng the high heat dissipation in 3D-ICs [57]. Design-time thermal management aims at achieving a thermal-aware 3D-IC floorplan design using methods such as floorplanning, TSV and thermal-via placement =-=[16, 17]-=-. Run-time thermal management involves continuous monitoring and controlling of the temperature during the run-time. Methods such as task scheduling, task migration and dynamic voltage frequency scali... |
44 | Interconnect and thermal-aware floorplanning for 3D microprocessors. ISQED
- Hung
- 2006
(Show Context)
Citation Context .... HPD is the highest power density. It denotes the highest power grid in the grid matrix, that contributes to the maximum temperature of the 3D-IC stack. 25 3.5 Interconnect Length (Lwire) Prior work =-=[29, 16, 43, 11]-=- in TSV placement used half perimeter wire length (HPWL), to calculate the interconnect length in 3D-ICs. The interconnect length calculation using HPWL is shown in Figure 3.3(a). HPWL is sum of half-... |
34 |
Threedimensional integrated circuits
- Topol, Tulipe, et al.
- 2006
(Show Context)
Citation Context ...ngth between the functional units. In addition, 3D-ICs supports heterogeneous integration of different technologies such as emerging non-volatile memories (NVMs), RF, MEMS, analog and optical systems =-=[64]-=- in a single chip. 3D-ICs have high package density and small form factor with reduced footprint and weight [67]. Moreover, 3D-ICs reduce the cost for large designs (more than 100M gates) compared to ... |
32 | System-Level Cost Analysis and Design Exploration for Three-Dimensional Integrated Circuits
- Dong, Xie
- 2009
(Show Context)
Citation Context ...ave high package density and small form factor with reduced footprint and weight [67]. Moreover, 3D-ICs reduce the cost for large designs (more than 100M gates) compared to planar integrated circuits =-=[24]-=-. For large designs, the reduction in the cost of metal layers overcomes the cost due to increased die area of 3D-ICs. Despite these advantages, one of the major problem in 3D-ICs is the high chip tem... |
29 | Circuit and microarchitecture evaluation of 3d stacking magnetic ram (mram) as a universal memory replacement.
- Dong, Wu, et al.
- 2008
(Show Context)
Citation Context ...power and MLC storage. For instance, a 2-bit/cell STTRAM operation using MgO-based MJT was demonstrated by Seagate technology [46]. Furthermore, STTRAM has fast access time compared to RRAM and PCRAM =-=[23]-=-. Hitachi and Tohoku university jointly demonstrated a 1.8 V 0.2 µm 2 Mb STTRAM using MgO tunneling barrier and demonstrated a cell efficiency of 40% [31]. Moreover, STTRAM has a good thermal performa... |
28 |
Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory.
- Dong
- 2012
(Show Context)
Citation Context ...ation of 3-tier 3D-IC used in TSV placement . . . . . . . . . 32 4.4 Generic 1T1R Architecture Representation . . . . . . . . . . . . . . . . . . 36 4.5 Generic NVM L2 Cache Organization adapted from =-=[25]-=- . . . . . . . . . . 37 4.6 PCRAM SET and RESET operation adapted from [25] . . . . . . . . . . . 38 4.7 Design-flow of Run time simulation framework used for the investigation of emerging NVMs in 3D-... |
28 | Thermal via placement in 3d ics,”
- Goplen, Sapatnekar
- 2005
(Show Context)
Citation Context ...f the thermal vias depends on the implementation and maximum temperature of the 3D-ICs. It is estimated that thermal vias consume 10% - 20% of the chip area for a maximum temperature reduction of 47% =-=[28, 44]-=-. On the other hand, based on 5Microbumps Dielectricsoxide M1 M2 M3 Devices TSV Tier 3 Tier 2 Tier 1 Substrate Bonding layer M1 M2 M3 M2 M3 M1 Substrate Substrate Bonding layer Figure 1.2: A 3-tier 3D... |
26 |
Placement of 3D ICs with Thermal and Interlayer Via Considerations. In
- Goplen, Sapatnekar
- 2007
(Show Context)
Citation Context ...ment and 15 adjustment between the macro-blocks. The peak temperature of 3D-IC is reduced to 85◦ C with 80% lesser thermal vias and a trade off of 2% increase in the interconnect length. Goplen et al =-=[27]-=- proposed an multi-level analytical and partition based TSV placement MCNC and GSRCsbenchmarks TSV numbersestimation Distribution andsAdjustment InitialsPlacement TSV distribution TSV Assignment TSV A... |
22 | Relaxing non-volatility for fast and energy-efficient stt-ram caches.
- Smullen
- 2011
(Show Context)
Citation Context ...n 3D-ICs offers integration of novel technologies such as emerging NVMs in a single chip. Emerging memory technologies such as RRAM, PCRAM and STTRAM are being actively researched in the recent times =-=[70, 59, 6]-=-. Compared to the 10 SRAM, these emerging technologies are non-volatile, requiring a little/zero power to maintain the stored state. Due to the reduced static power consumption, these NVMs can be used... |
22 | 3d-ice: Fast compact transient thermal modeling for 3d ics with inter-tier liquid cooling,”
- Sridhar
- 2010
(Show Context)
Citation Context ... The general flow of design-time TSV placement framework is shown in Figure 4.1. The framework (developed in C++) integrates a customized floorplanning tool (3DFP) [29] and thermal simulator (3D-ICE) =-=[60]-=-. The framework uses macro-blocks, TSV definition, netlist and design information to generate an optimized TSV placed floorplan for 3D-ICs. The initial placement of macro-blocks is achieved in 3DFP by... |
20 | Energy reduction for stt-ram using early write termination.
- Zhou, Zhao, et al.
- 2009
(Show Context)
Citation Context ...the cells to avoid undesirable heating (thermal cross talk) from the neighbouring cells. While, STTRAM requires a high current to change the direction of the magnetic layer during the write operation =-=[72]-=-. On the other hand, RRAM consumes a very low dynamic energy compared to the PCRAM and STTRAM [50]. Despite the above challenges, the advantages such as low static power, relatively high thermal perfo... |
16 |
Integrating dynamic thermal via planning with 3D floorplanning algorithm. ISPD
- Li
- 2006
(Show Context)
Citation Context .... HPD is the highest power density. It denotes the highest power grid in the grid matrix, that contributes to the maximum temperature of the 3D-IC stack. 25 3.5 Interconnect Length (Lwire) Prior work =-=[29, 16, 43, 11]-=- in TSV placement used half perimeter wire length (HPWL), to calculate the interconnect length in 3D-ICs. The interconnect length calculation using HPWL is shown in Figure 3.3(a). HPWL is sum of half-... |
16 |
Write strategies for 2 and 4-bit multi-level phasechange memory.
- Nirschl, Philipp, et al.
- 2007
(Show Context)
Citation Context ...hodology used for the investigation of different NVMs are also discussed in this section. 4.2.1 Characteristics of NVMs Table 1 summarizes the characteristics of NVMs based on the data collected from =-=[69, 21, 45, 25, 48, 46, 14, 12]-=-. RRAM provides high storage capacity due to smaller cell size. In addition, RRAM offers low operating voltage and multi-level cell (MLC) storage. A 40 nm 3-bit/cell and 2-bit/cell RRAM operation was ... |
16 |
TSV Stress Aware Timing Analysis with Applications to 3D-IC Layout Optimization. In
- Yang, Athikulwongse, et al.
- 2010
(Show Context)
Citation Context ...cts placed between the tiers in 3D-ICs. A 3-tier 3D-IC where inter-tier networks are connected using TSVs is shown in Figure 1.2. Polysilicon, copper and tungsten are most commonly used TSV materials =-=[56]-=-. As shown in Figure 1.2, dielectric oxide is used for the isolation between TSV and silicon substrate. The micro-bumps are used to connect TSVs in the bonding layer between the tiers. Based on the pu... |
15 |
Energy-and endurance-aware design of phase change memory caches,”
- Joo, Niu, et al.
- 2010
(Show Context)
Citation Context ...application of heat by the heater. MOSFET access device is shown in Figure 1.5. The phase change material used is a chalcogenide glass (Ge2Sb2Te2) which is alloys of germanium, antimony and tellurium =-=[30]-=-. The crystalline phase and amorphous phase shows low resistivity and high resistivity respectively. A heater is placed below the PCM for applying the heat for switching. The crystalline state is achi... |
14 |
2 Mb SPRAM (SPin-transfer torque RAM) with bit-by-bit bi-directional current write and parallelizing-direction current read
- Kawahara
(Show Context)
Citation Context ...s fast access time compared to RRAM and PCRAM [23]. Hitachi and Tohoku university jointly demonstrated a 1.8 V 0.2 µm 2 Mb STTRAM using MgO tunneling barrier and demonstrated a cell efficiency of 40% =-=[31]-=-. Moreover, STTRAM has a good thermal performance among the NVMs. For instance, STTRAM withstanding a temperature of 150◦ C with 10 years of data retention was demonstrated by Ono et al. [50]. However... |
14 |
Low power and high speed bipolar switching with a thin reactive ti buffer layer in robust hf02 based rram," in Electron Devices Meeting,.
- Lee, aI
- 2008
(Show Context)
Citation Context ...ve metal oxide technology [10, 13]. Furthermore, RRAM has the ability to withstand a very high temperature. For instance, RRAM withstanding the temperature up to 200◦ C was demonstrated by Lee et al. =-=[36]-=-. They achieved an endurance of greater than 106 cycles and 10 years of data retention at 200◦ C. However, RRAM has the problem of limited endurance (105-1010 cycles). 34 PCRAM has low static power an... |
13 |
Design implications of memristor-based RRAM cross-point structures”, in
- Xu
- 2011
(Show Context)
Citation Context ...hodology used for the investigation of different NVMs are also discussed in this section. 4.2.1 Characteristics of NVMs Table 1 summarizes the characteristics of NVMs based on the data collected from =-=[69, 21, 45, 25, 48, 46, 14, 12]-=-. RRAM provides high storage capacity due to smaller cell size. In addition, RRAM offers low operating voltage and multi-level cell (MLC) storage. A 40 nm 3-bit/cell and 2-bit/cell RRAM operation was ... |
11 |
Hierarchical 3-D floorplanning algorithm for wirelength optimization
- Li, Hong, et al.
(Show Context)
Citation Context ...in this work. 19 Chapter 3 Weight-Based Simulated Annealing (WSA) WSA algorithm uses a weight constraint for the placement of TSVs in 3D-ICs. Simulated annealing (SA) algorithm used in previous works =-=[16, 41]-=- contains randomness in the placement of TSVs. WSA algorithm replaces the randomness in SA algorithm by a weight constraint, to reduce the free space created on inserting TSVs in the floorplan. WSA al... |
10 | Modeling and dynamic management of 3d multicore systems with liquid cooling.
- COSKUN, ATIENZA, et al.
- 2009
(Show Context)
Citation Context ...e switching achieved by passing high current in the free layer. . . . . . . . . . . . . . . . . . . . . . 11 2.1 Design-flow of TSV placement used to reduce the peak temperature in 3DICs adapted from =-=[18]-=- . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 3.1 Flowchart of Weight Simulated Annealing (WSA) used for the TSV placement in 3D-ICs . . . . . . . . . . . . . . . . . . . . . . . . . .... |
10 |
Advances in composite materials for thermal management in electronic packaging
- Zweben
- 1998
(Show Context)
Citation Context ...of 3D-ICs. For instance, the thermal conductivity of epoxy used as dielectric between the tiers is very low (1.7 W/mK) compared to the thermal conductivity of copper (400 W/mK) and silicon (150 W/mK) =-=[73]-=-. The overall power density of a 3D-IC stack will be √ N times the 3power density of corresponding 2D die (where N is the number of stacks and the dies are assumed to be homogeneous with equal power d... |
8 |
A novel thermal optimization flow using incremental floorplanning for 3D ICs. ASP-DAC
- Li, Ma, et al.
- 2009
(Show Context)
Citation Context ...and Yj . WSA verifies the overlap between the via groups, after satisfying the weight constraint. Via groups vl and vm overlap each other, if at least one of the following conditions is not satisfied =-=[40]-=- xl + wl ≤ xm xl ≥ xm + wm yl + hl ≤ ym yl ≥ ym + hm (3.3) 23 where, wl, wm and hl, hm represents the width and height of the via groups vl and vm respectively. The via groups are enclosed within the ... |
7 |
Benchmarks for layout synthesis—Evolution and current status
- Kozminski
- 1991
(Show Context)
Citation Context ...ate Liquid-flow tier 2 tier 3 Figure 4.3: Stack configuration of 3-tier 3D-IC used in TSV placement 4.1.3 MCNC’91 and GSRC Benchmarks Microelectronics center for North Carolina (MCNC) benchmark suite =-=[35]-=- were published at theMCNC’91 workshop on the logical synthesis. MCNC’91 benchmarks (ami33, ami49, hp and xerox) are collected from the industry which ranges from simple circuit to advanced circuit. G... |
7 |
Efficient thermal via planning approach and its application in 3D floorplanning
- Li, Hong
- 2007
(Show Context)
Citation Context ...The pitch of the TSVs ranges from 10 µm to 200 µm [65] in via-first to via-last technologies 6respectively. Furthermore, the cost of fabrication of these TSVs are very high using current technologies =-=[42]-=-. Hence, the placement of the TSVs are extremely important in reducing the temperature of 3D-ICs. Meta-heuristic algorithms offer good floorplanning solutions for the placement of TSVs. 1.2.2 Meta-Heu... |
7 |
Efficient thermal-oriented 3D floorplanning and thermal via planning for two-stacked-die integration.
- Li
- 2006
(Show Context)
Citation Context ...f the thermal vias depends on the implementation and maximum temperature of the 3D-ICs. It is estimated that thermal vias consume 10% - 20% of the chip area for a maximum temperature reduction of 47% =-=[28, 44]-=-. On the other hand, based on 5Microbumps Dielectricsoxide M1 M2 M3 Devices TSV Tier 3 Tier 2 Tier 1 Substrate Bonding layer M1 M2 M3 M2 M3 M1 Substrate Substrate Bonding layer Figure 1.2: A 3-tier 3D... |
6 |
Towards thermally-aware design of 3D MPSoCs with inter-tier cooling
- Sabry, Sridhar, et al.
- 2011
(Show Context)
Citation Context ...e designs, the reduction in the cost of metal layers overcomes the cost due to increased die area of 3D-ICs. Despite these advantages, one of the major problem in 3D-ICs is the high chip temperatures =-=[54]-=-, due to increased power density (∼ 250 W/cm2) per unit surface area of the stack. The high power density is caused by vertical stacking of active devices and poor thermal conductivity of the bonding ... |
5 |
45nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cell”, Tech. Dig. –Int
- Lin, Kang, et al.
(Show Context)
Citation Context ...hodology used for the investigation of different NVMs are also discussed in this section. 4.2.1 Characteristics of NVMs Table 1 summarizes the characteristics of NVMs based on the data collected from =-=[69, 21, 45, 25, 48, 46, 14, 12]-=-. RRAM provides high storage capacity due to smaller cell size. In addition, RRAM offers low operating voltage and multi-level cell (MLC) storage. A 40 nm 3-bit/cell and 2-bit/cell RRAM operation was ... |
4 |
High performance ultra-low energy RRAM with good retention and endurance
- Cheng, Tsai, et al.
- 2010
(Show Context)
Citation Context ...hodology used for the investigation of different NVMs are also discussed in this section. 4.2.1 Characteristics of NVMs Table 1 summarizes the characteristics of NVMs based on the data collected from =-=[69, 21, 45, 25, 48, 46, 14, 12]-=-. RRAM provides high storage capacity due to smaller cell size. In addition, RRAM offers low operating voltage and multi-level cell (MLC) storage. A 40 nm 3-bit/cell and 2-bit/cell RRAM operation was ... |
4 |
Systemlevel comparison of power delivery design for 2D and 3D ICs,”
- Khan, Alam, et al.
- 2009
(Show Context)
Citation Context ...erall power density of a 3D-IC stack will be √ N times the 3power density of corresponding 2D die (where N is the number of stacks and the dies are assumed to be homogeneous with equal power density) =-=[32]-=-. The power density can be further exacerbated with heterogeneous integration. The high temperature effects the performance, power, reliability and life span of 3D-ICs [19]. At high temperature, the s... |
4 |
Thermal analysis and active cooling management for 3d mpsocs
- Sabry, Atienza, et al.
- 2011
(Show Context)
Citation Context ...IC implemented using micro-channels, a pump and a heat exchanger coolant. By managing the above three parameters the heat flux as high as 3.9 kW/cm3 can be extracted from the tiers of the 3D-IC stack =-=[53]-=-. However, sufficient amount of energy is spent in the fluid pump and heat exchanger for controlling the pressure and temperature of the coolant respectively. Hence, the liquid cooling mechanism is us... |
4 |
Compact transient thermal model for 3D ICs with liquid cooling via enhanced heat transfer cavity geometries
- Sridhar, Vincenzi, et al.
(Show Context)
Citation Context ...have been considered to alleviate heat generated in the 3D-IC stack. Cooling mechanisms such as conventional heat sink and micro-channel cold plates are inadequate to dissipate large heat from 3D-ICs =-=[61]-=-. While TSVs are limited in number due to their cost of fabrication and large area, inter-tier liquid cooling is an efficient cooling mechanism capable of removing high heat dissipated in a 3D-IC stac... |
4 |
Metal-oxide rram
- Wong
(Show Context)
Citation Context ... the oxide region. Several metal oxides are used for the fabrication of RRAM such as hafnium oxide (HfOx), titanium oxide (TiOx), copper oxide (CuOx), aluminium oxide (AlOx), nickel oxide (NiOx) etc. =-=[68]-=-. PCRAM switches between crystalline and amorphous state in phase change material (PCM) on the application of heat. The schematic of phase change material used with 11 WL SL BL n+ n+ P substrate Top e... |
4 |
3d tsv processes and its assembly/packaging technology
- Yoon, Yang, et al.
- 2009
(Show Context)
Citation Context ... bonding of dies. The size of the TSVs varies between the via-first and via-last technology, due to the aspect ratio requirement corresponding to the thickness of the wafer used in these technologies =-=[71]-=-. For instance, the dimension of the TSVs range from 1 µm to 90 µm in via-first to via-last technologies respectively [71]. These TSVs occupy a large area between the functional units in 3D-ICs. For i... |
3 |
Assessment of power system reliability methods and applications
- Cepin
- 2011
(Show Context)
Citation Context ...A algorithm has smaller simulation time and uses less computational resources compared to other general optimization methods [1]. In addition, SA algorithm is capable of scaling with the problem size =-=[7]-=-. However, the SA algorithm heuristic has to be tuned for fast and efficient convergence to the global optimum [26]. The TSV placement is a large solution space problem in which the meta-heuristic alg... |
3 |
A 0.13µm 64Mb multi-layered conductive metaloxide memory
- Chevallier, Siau, et al.
(Show Context)
Citation Context ...nation of different sized components such as heaters for PCRAM [10]. Unity semiconductor demonstrated the largest test array of 0.13 µm 64 MB multilayered RRAM using conductive metal oxide technology =-=[10, 13]-=-. Furthermore, RRAM has the ability to withstand a very high temperature. For instance, RRAM withstanding the temperature up to 200◦ C was demonstrated by Lee et al. [36]. They achieved an endurance o... |
3 |
Full chip leakage-estimation considering power supply and temperature variations
- Su, Liu, et al.
- 2003
(Show Context)
Citation Context ... metal interconnects increases with temperature. The leakage power of 3D-ICs also varies linearly with temperature. For instance, every 30 K increase in temperature increases the leakage power by 30% =-=[62]-=-. Moreover, the reliability of 3D-ICs exponentially depends on temperature. For example, the mean time to failure is reduced by a factor of 10 with every 30 K rise in temperature [63]. It is also esti... |
2 |
Analysis and mitigation of lateral thermal blockage effect of through-silicon-via in 3d ic designs
- Chen, Kursun, et al.
- 2011
(Show Context)
Citation Context .... HPD is the highest power density. It denotes the highest power grid in the grid matrix, that contributes to the maximum temperature of the 3D-IC stack. 25 3.5 Interconnect Length (Lwire) Prior work =-=[29, 16, 43, 11]-=- in TSV placement used half perimeter wire length (HPWL), to calculate the interconnect length in 3D-ICs. The interconnect length calculation using HPWL is shown in Figure 3.3(a). HPWL is sum of half-... |
2 |
A 90nm 4Mb embedded phase-change memory with 1.2 V 12ns read access time and 1MB/s write throughput
- Sandre
- 2010
(Show Context)
Citation Context ...hodology used for the investigation of different NVMs are also discussed in this section. 4.2.1 Characteristics of NVMs Table 1 summarizes the characteristics of NVMs based on the data collected from =-=[69, 21, 45, 25, 48, 46, 14, 12]-=-. RRAM provides high storage capacity due to smaller cell size. In addition, RRAM offers low operating voltage and multi-level cell (MLC) storage. A 40 nm 3-bit/cell and 2-bit/cell RRAM operation was ... |
2 | Early estimation of tsv area for power delivery in 3-d integrated circuits
- Khan, Reda, et al.
- 2010
(Show Context)
Citation Context ...power TSVs, while TSVs used for inter-tier networks are called signal TSVs. The size of power TSVs are larger than the signal TSVs to reduce the voltage drop and meet the current density requirements =-=[33]-=-. For instance, Lee et al. [37] used the 40 µm and 10 µm size for the power and signal TSVs in a 45 nm technology. In addition, TSVs used specifically for the heat dissipation are called thermal vias.... |
2 |
Sung Kyu Lim. Routing optimization of multi-modal interconnects in 3d ics
- Lee
- 2009
(Show Context)
Citation Context ... placement of TSVs. In addition, they reduced the peak temperature of 3D-IC to 85◦ C using micro-channel liquid cooling with coolant temperature of 20◦ C and 70 kPa pressure drop. 17 Later, Lee et al =-=[38]-=- focused on the reliability analysis and optimization of various design parameters of TSVs with inter-tier liquid cooling. On the other hand, Sridhar et al [61] have proposed a flexible compact transi... |
2 |
Demonstration of multilevel cell spin transfer switching in MgO magnetic tunnel junctions
- Lou, Gao, et al.
- 2008
(Show Context)
Citation Context ...hodology used for the investigation of different NVMs are also discussed in this section. 4.2.1 Characteristics of NVMs Table 1 summarizes the characteristics of NVMs based on the data collected from =-=[69, 21, 45, 25, 48, 46, 14, 12]-=-. RRAM provides high storage capacity due to smaller cell size. In addition, RRAM offers low operating voltage and multi-level cell (MLC) storage. A 40 nm 3-bit/cell and 2-bit/cell RRAM operation was ... |
2 |
Thermal profiling in cmos/memristor hybrid architectures
- Merkel
- 2011
(Show Context)
Citation Context ...uring write operation, a positive voltage is applied on the BL to store high resistance state in RRAM cell. While, a negative voltage is applied on the BL to store a low resistance state in RRAM cell =-=[47]-=-. Read and Write Operation of PCRAM PCRAM represent logic ’1’ and logic ’0’ as crystalline and amorphous states respectively in PCM [8]. Read operation involves application of a small positive voltage... |
2 |
Thermal crisis: challenges and potential solutions
- Shang, Dick
- 2006
(Show Context)
Citation Context ...e, thermal management plays a pivotal role in controlling the temperature of 3D-ICs. Design-time and run-time thermal management techniques are used for mitigating the high heat dissipation in 3D-ICs =-=[57]-=-. Design-time thermal management aims at achieving a thermal-aware 3D-IC floorplan design using methods such as floorplanning, TSV and thermal-via placement [16, 17]. Run-time thermal management invol... |
2 |
A study on the trade-off among wirelength, number of tsv and placement with different size of tsv
- Tsai, Hwang
- 2011
(Show Context)
Citation Context ...f thermal expansion between TSVs and the silicon substrate. Hence, TSVs require a minimum distance (pitch size) from other TSVs and functional units. The pitch of the TSVs ranges from 10 µm to 200 µm =-=[65]-=- in via-first to via-last technologies 6respectively. Furthermore, the cost of fabrication of these TSVs are very high using current technologies [42]. Hence, the placement of the TSVs are extremely i... |
2 |
Technologies for 3D wafer level heterogeneous integration
- Wolf, Ramm, et al.
- 2008
(Show Context)
Citation Context ...ies such as emerging non-volatile memories (NVMs), RF, MEMS, analog and optical systems [64] in a single chip. 3D-ICs have high package density and small form factor with reduced footprint and weight =-=[67]-=-. Moreover, 3D-ICs reduce the cost for large designs (more than 100M gates) compared to planar integrated circuits [24]. For large designs, the reduction in the cost of metal layers overcomes the cost... |
2 | Exploring latency-power tradeoffs in deep nonvolatile memory hierarchies
- Yoon, Gonzalez, et al.
- 2012
(Show Context)
Citation Context ...n 3D-ICs offers integration of novel technologies such as emerging NVMs in a single chip. Emerging memory technologies such as RRAM, PCRAM and STTRAM are being actively researched in the recent times =-=[70, 59, 6]-=-. Compared to the 10 SRAM, these emerging technologies are non-volatile, requiring a little/zero power to maintain the stored state. Due to the reduced static power consumption, these NVMs can be used... |
1 |
Optimization for industrial problems
- Bangert
- 2012
(Show Context)
Citation Context ...e best solution gives the optimized result of the combinational problem. 7SA algorithm has smaller simulation time and uses less computational resources compared to other general optimization methods =-=[1]-=-. In addition, SA algorithm is capable of scaling with the problem size [7]. However, the SA algorithm heuristic has to be tuned for fast and efficient convergence to the global optimum [26]. The TSV ... |
1 |
Analysis and optimization of thermal effect on stt-ram based 3-d stacked cache design
- Bi, Li, et al.
- 2012
(Show Context)
Citation Context ....6. [25] Figure 4.6: PCRAM SET and RESET operation adapted from [25] Read and Write Operations of STTRAM STTRAM uses parallel and anti-parallel state to represent logic ’0’ and logic ’1’ respectively =-=[3]-=-. Read operation involves the application of very small negative voltage across the BL and source line (SL) line. The value of current through the MJT is determined by its resistance. A current sense ... |
1 |
Design-time performance evaluation of thermal management policies for sram and rram based 3d mpsocs
- Brenner, Merkel, et al.
- 2012
(Show Context)
Citation Context ...n 3D-ICs offers integration of novel technologies such as emerging NVMs in a single chip. Emerging memory technologies such as RRAM, PCRAM and STTRAM are being actively researched in the recent times =-=[70, 59, 6]-=-. Compared to the 10 SRAM, these emerging technologies are non-volatile, requiring a little/zero power to maintain the stored state. Due to the reduced static power consumption, these NVMs can be used... |
1 |
Improved spice macromodel of phase change random access memory
- Chang, Chang, et al.
- 2009
(Show Context)
Citation Context ... applied on the BL to store a low resistance state in RRAM cell [47]. Read and Write Operation of PCRAM PCRAM represent logic ’1’ and logic ’0’ as crystalline and amorphous states respectively in PCM =-=[8]-=-. Read operation involves application of a small positive voltage on the BL and a current sense amplifier is used to sense the data in PCRAM cell. The PCM is heated by passing the electric current to ... |
1 |
Circuit design challenges in embedded memory and resistive ram (rram) for mobile soc and 3d-ic
- Chang, Chiu, et al.
- 2011
(Show Context)
Citation Context ...AM has low leakage power, the dynamic power consumption is high in these NVMs. PCRAM consumes a high dynamic energy due to the high current required to switch between crystalline and amorphous states =-=[9]-=-. In addition to high dynamic energy, PCRAM also requires a minimum distance between the cells to avoid undesirable heating (thermal cross talk) from the neighbouring cells. While, STTRAM requires a h... |
1 |
Resistance switching for rram applications
- Chen, Chen, et al.
(Show Context)
Citation Context ...n addition, RRAM has a simple metal-insulator-metal structure for fabrication compared to multiple magnetic structure in STTRAM and combination of different sized components such as heaters for PCRAM =-=[10]-=-. Unity semiconductor demonstrated the largest test array of 0.13 µm 64 MB multilayered RRAM using conductive metal oxide technology [10, 13]. Furthermore, RRAM has the ability to withstand a very hig... |
1 |
Multi-level operation of fully cmos compatible wox resistive random access memory (rram
- Chien, Chen, et al.
- 2009
(Show Context)
Citation Context ...hodology used for the investigation of different NVMs are also discussed in this section. 4.2.1 Characteristics of NVMs Table 1 summarizes the characteristics of NVMs based on the data collected from =-=[69, 21, 45, 25, 48, 46, 14, 12]-=-. RRAM provides high storage capacity due to smaller cell size. In addition, RRAM offers low operating voltage and multi-level cell (MLC) storage. A 40 nm 3-bit/cell and 2-bit/cell RRAM operation was ... |
1 |
Multi-level 40nm wox resistive memory with excellent reliability
- Chien, Lee, et al.
- 2011
(Show Context)
Citation Context ...ge capacity due to smaller cell size. In addition, RRAM offers low operating voltage and multi-level cell (MLC) storage. A 40 nm 3-bit/cell and 2-bit/cell RRAM operation was demonstrated by Macronics =-=[15]-=-. They achieved a endurance of 103 with operating voltage of 0.4 V . In addition, RRAM has a simple metal-insulator-metal structure for fabrication compared to multiple magnetic structure in STTRAM an... |
1 |
3d thermal-aware floorplanner for many-core single-chip systems
- Cuesta, Risco-Martin, et al.
- 2011
(Show Context)
Citation Context ...s with equal power density) [32]. The power density can be further exacerbated with heterogeneous integration. The high temperature effects the performance, power, reliability and life span of 3D-ICs =-=[19]-=-. At high temperature, the speed of the transistors is reduced due to the degradation in mobility of the carriers. The performance of clock buffers degrades ( 1.2%-1.32% for every 10K increase [64]) a... |
1 |
Ekanathan Palamadai Natarajan, and Ansoft Inc. Algorithm 8xx: Klu, a direct sparse solver for circuit simulation problems
- Davis
- 2010
(Show Context)
Citation Context ... given as inputs to the 3D-ICE for thermal analysis. 3D-ICE uses compact transient thermal model (CTTM) to simulate the thermal profile of 3D-ICs and solve the resulting equation matrix using SuperLU =-=[20]-=-, a 30 Table 4.1: Floorplan and Thermal analysis parameters used in the simulation of 3D-ICs Parameter Value TSV size 20µm X 20 µm Number of layers 3 silicon thickness 50µm layer thickness 2µm pin-fin... |
1 |
Retention behavior of the electric-pulse-induced reversible resistance change effect in ag-la0.7ca0.3mno3-pt sandwiches
- Dong, Wang, et al.
- 2005
(Show Context)
Citation Context ...e operations of each NVMs. 37 Figure 4.5: Generic NVM L2 Cache Organization adapted from [25] Read and Write Operation of RRAM RRAM 1T1R cell store logic ’0’ and logic ’1’ in LRS and HRS respectively =-=[22]-=-. During the read operation, a small voltage is applied on the bit line (BL) of the 1T1R RRAM cell. The read voltage is maintained typically small, to ensure the read operation does not disturb the va... |
1 | 3d-ic floorplanning: Applying metaoptimization to improve performance - Frantz, Labrak, et al. - 2011 |
1 |
Sung Kyu Lim. Tsv-aware interconnect length and power prediction for 3d stacked ics
- Kim, Mukhopadhyay
- 2009
(Show Context)
Citation Context ...ologies respectively [71]. These TSVs occupy a large area between the functional units in 3D-ICs. For instance, 90000 signal TSVs of 5 µm dimension occupy an area equal to one million gates of 1.5 µm =-=[34]-=-. In addition, TSVs induce stress in the surrounding regions due to the difference in the co-efficient of thermal expansion between TSVs and the silicon substrate. Hence, TSVs require a minimum distan... |
1 |
Sung Kyu Lim. Co-optimization of signal, power, and thermal distribution networks for 3d ics
- Lee
- 2008
(Show Context)
Citation Context ... inter-tier networks are called signal TSVs. The size of power TSVs are larger than the signal TSVs to reduce the voltage drop and meet the current density requirements [33]. For instance, Lee et al. =-=[37]-=- used the 40 µm and 10 µm size for the power and signal TSVs in a 45 nm technology. In addition, TSVs used specifically for the heat dissipation are called thermal vias. The size of the thermal vias d... |
1 |
Full integration of highly manufacturable 512mb pram based on 90nm technology
- Oh, Park, et al.
- 2006
(Show Context)
Citation Context ...ycles and 32 kB page of 2-bit/cell for 109 read cycles. In addition, Samsung has demonstrated a 512 MB PCRAM array with a write endurance of 105 cycles with a data retention time of 10 years at 85◦ C =-=[49]-=-. The thermal performance of PCRAM is limited by its crystallization temperature, as switching is achieved through heating the PCM [10]. For instance, Pellizer et al. [52] demonstrated the thermal per... |
1 |
Spram with large thermal stability for high immunity to read disturbance and long retention for high-temperature operation
- Ono, Kawahara, et al.
- 2009
(Show Context)
Citation Context ...y of 40% [31]. Moreover, STTRAM has a good thermal performance among the NVMs. For instance, STTRAM withstanding a temperature of 150◦ C with 10 years of data retention was demonstrated by Ono et al. =-=[50]-=-. However, STTRAM has a large cell size which leads to lower storage capacity compared to RRAM and PCRAM. 35 Although, PCRAM and STTRAM has low leakage power, the dynamic power consumption is high in ... |
1 |
Novel mu;trench phase-change memory cell for embedded and stand-alone non-volatile memory applications
- Pellizzer, Pirovano, et al.
- 2004
(Show Context)
Citation Context ...on time of 10 years at 85◦ C [49]. The thermal performance of PCRAM is limited by its crystallization temperature, as switching is achieved through heating the PCM [10]. For instance, Pellizer et al. =-=[52]-=- demonstrated the thermal performance of 110◦ C with 10 years of data retention time. STTRAM has relatively very high endurance of greater than 1016 cycles, compared to RRAM and PCRAM. In addition, ST... |
1 | Metaheuristics and combinatorial optimization problems
- Scriptor
- 2000
(Show Context)
Citation Context ...dering or selection [51] have a very large solution space. An exhaustive search to find the most optimal solution to these problems requires extremely large amount of time and is sometimes infeasible =-=[55]-=-. Hence, these meta-heuristic algorithms use randomness in the search process to find the optimal or near optimal solution for the combinational problems. Meta-heuristic algorithms are not problem spe... |
1 |
Liquid cooling for 3d-ics
- Shi, Srivastava
- 2011
(Show Context)
Citation Context ...cooling is an efficient cooling mechanism capable of removing high heat dissipated in a 3D-IC stack [61]. A generic schematic of a liquid cooling system used for a 2-tier 3D-IC is shown in Figure 1.3 =-=[58]-=-. The heat sinks are embedded between the tiers of the 3D-IC stack. The fluid coolant moves through the heat sink and absorbs the heat from the tiers. The liquid cooling system needs one or more fluid... |
1 |
Understanding Integrated Circuit Package Power Capabilities
- TexasInstrument
- 2009
(Show Context)
Citation Context ...age power by 30% [62]. Moreover, the reliability of 3D-ICs exponentially depends on temperature. For example, the mean time to failure is reduced by a factor of 10 with every 30 K rise in temperature =-=[63]-=-. It is also estimated that 10%-15% increase of temperature causes 50% reduction in the life span of the device. Furthermore, hotspots can permanently damage the 3D-ICs. Therefore, thermal management ... |
1 |
Point and discard: a hard-error-tolerant architecture for non-volatile last level caches
- Wang, Dong, et al.
- 2012
(Show Context)
Citation Context ...ent of the 3D-IC stack. Semiconductor companies such as HP, Toshiba and Samsung are exploring the possibility of replacing conventional SRAM on-chip memory with these emerging NVMs in the near future =-=[66]-=-. Different NVMs use different mechanisms to store the data. RRAM uses resistive W Top electrode Bottom electrode Low oxygensvacancysconcentration High oxygensvacancysconcentration Figure 1.4: A metal... |