• Documents
  • Authors
  • Tables
  • Log in
  • Sign up
  • MetaCart
  • DMCA
  • Donate

CiteSeerX logo

Advanced Search Include Citations

Tools

Sorted by:
Try your query at:
Semantic Scholar Scholar Academic
Google Bing DBLP
Results 1 - 10 of 1,077
Next 10 →

Performance and power impact of issue-width in chip-multiprocessor cores

by Magnus Ekman, Per Stenstrom - In International Conference on Parallel Processing , 2003
"... In chip-multiprocessors (CMPs), the number of cores and the issue width of each core presents an important design trade-off to balance the amount of TLP and ILP between multi-threaded and single-threaded applications. This paper explores the trade-off between issue-width of the cores and the number ..."
Abstract - Cited by 21 (0 self) - Add to MetaCart
In chip-multiprocessors (CMPs), the number of cores and the issue width of each core presents an important design trade-off to balance the amount of TLP and ILP between multi-threaded and single-threaded applications. This paper explores the trade-off between issue-width of the cores and the number

Complexity-effective superscalar processors

by Subbarao Palacharla, J. E. Smith, et al. - IN PROCEEDINGS OF THE 24TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE , 1997
"... The performance tradeoff between hardware complexity and clock speed is studied. First, a generic superscalar pipeline is defined. Then the specific areas of register renaming, instruction window wakeup and selection logic, and operand bypassing are ana-lyzed. Each is modeled and Spice simulated for ..."
Abstract - Cited by 467 (5 self) - Add to MetaCart
for feature sizes of 0:8m, 0:35m, and 0:18m. Performance results and trends are expressed in terms of issue width and window size. Our analysis indicates that window wakeup and selection logic as well as operand bypass logic are likely to be the most critical in the future. A microarchitecture that simplifies

Performance and Power Impact of Issue-width in Chip-Multiprocessor Cores

by unknown authors
"... This paper explores the trade-off between the issuewidth of the cores and the number of cores on a chip by considering design points with comparable area with respect to both performance and energy. We focus on scalable parallel applications from SPLASH-2. While they are known to benefit from as man ..."
Abstract - Add to MetaCart
as many cores as possible we show that these applications can be run as efficiently and with comparable power consumption on a chip-multiprocessor (CMP) with fewer, but wider-issue cores. This is attributable to their inherent ILP and the fact that fewer cores result in less performance and power

Pipeline gating: speculation control for energy reduction

by Srilatha Manne - In Proceedings of the 25th Annual International Symposium on Computer Architecture , 1998
"... Branch prediction has enabled microprocessors to increase instruction level parallelism (ILP) by allowing programs to speculatively execute beyond control boundaries. Although speculative execution is essential for increasing the instructions per cycle (IPC), it does come at a cost. A large amount o ..."
Abstract - Cited by 288 (3 self) - Add to MetaCart
overhead will increase in the future as processors use more aggressive speculation and wider issue widths [9]. In this paper, we present an innovative method for power reduction which, unlike previous work that sacrificed flexibility or performance, reduces power in high-performance microprocessors without

Pyramidal implementation of the Lucas Kanade feature tracker

by Jean-yves Bouguet - Intel Corporation, Microprocessor Research Labs , 2000
"... grayscale value of the two images are the location x = [x y] T, where x and y are the two pixel coordinates of a generic image point x. The image I will sometimes be referenced as the first image, and the image J as the second image. For practical issues, the images I and J are discret function (or ..."
Abstract - Cited by 308 (0 self) - Add to MetaCart
grayscale value of the two images are the location x = [x y] T, where x and y are the two pixel coordinates of a generic image point x. The image I will sometimes be referenced as the first image, and the image J as the second image. For practical issues, the images I and J are discret function (or

Width parameters beyond tree-width and their applications

by Petr Hliněny, Sang-il Oum, Detlef Seese, Georg Gottlob - Computer Journal , 2007
"... Besides the very successful concept of tree-width (see [Bodlaender, H. and Koster, A. (2007) Combinatorial optimisation on graphs of bounded treewidth. These are special issues on Parameterized Complexity]), many concepts and parameters measuring the similarity or dissimilarity of structures compare ..."
Abstract - Cited by 40 (0 self) - Add to MetaCart
Besides the very successful concept of tree-width (see [Bodlaender, H. and Koster, A. (2007) Combinatorial optimisation on graphs of bounded treewidth. These are special issues on Parameterized Complexity]), many concepts and parameters measuring the similarity or dissimilarity of structures

Lx: A Technology Platform for Customizable VLIW Embedded Processing

by Paolo Faraboschi, Geoffrey Brown, Joseph A. Fisher, Giuseppe Desoli, Fred (Mark Owen) Homewood , 2000
"... Lx is a scalable and customizable VLIW processor technology platform designed by Hewlett-Packard and STMicroelectronics that allows variations in instruction issue width, the number and capabilities of structures and the processor instruction set. For Lx we developed the architecture and software fr ..."
Abstract - Cited by 135 (2 self) - Add to MetaCart
Lx is a scalable and customizable VLIW processor technology platform designed by Hewlett-Packard and STMicroelectronics that allows variations in instruction issue width, the number and capabilities of structures and the processor instruction set. For Lx we developed the architecture and software

Defining Wakeup Width for Efficient Dynamic Scheduling

by Aneesh Aggarwal - in ICCD 2004 , 2004
"... A larger Dynamic Scheduler (DS) exposes more Instruction Level Parallelism (ILP), giving better performance. However, a larger DS also results in a longer scheduler latency and a slower clock speed. In this paper, we propose a new DS design that reduces the scheduler critical path latency by reducin ..."
Abstract - Cited by 8 (3 self) - Add to MetaCart
by reducing the wakeup width (defined as the effective number of results used for instruction wakeup). The design is based on the realization that the average number of results per cycle that are immediately required to wake up the dependent instructions is considerably less than the processor issue width

Space and width in propositional resolution

by Jacobo Torán, Jacobo Torán - Bulletin of the European Association of Theoretical Computer Science
"... Starting with this issue I take over the position of Lance Fortnow as editor of this column. First of all I would like to thank him for his excellent work. He has been able to touch in this column many di erent topics, opening the area and re ecting how broad the eld of computational complexity real ..."
Abstract - Cited by 7 (0 self) - Add to MetaCart
Starting with this issue I take over the position of Lance Fortnow as editor of this column. First of all I would like to thank him for his excellent work. He has been able to touch in this column many di erent topics, opening the area and re ecting how broad the eld of computational complexity

Recommendations to Avoid Short Pulse Width Issues in

by unknown authors
"... The High-Voltage Integrated Circuit (HVIC) gate driver family is designed to drive an N-channel MOSFET or IGBT up to 600 V. One of the most common methods to supply power to the high-side gate drive circuitry of the high-voltage ..."
Abstract - Add to MetaCart
The High-Voltage Integrated Circuit (HVIC) gate driver family is designed to drive an N-channel MOSFET or IGBT up to 600 V. One of the most common methods to supply power to the high-side gate drive circuitry of the high-voltage
Next 10 →
Results 1 - 10 of 1,077
Powered by: Apache Solr
  • About CiteSeerX
  • Submit and Index Documents
  • Privacy Policy
  • Help
  • Data
  • Source
  • Contact Us

Developed at and hosted by The College of Information Sciences and Technology

© 2007-2019 The Pennsylvania State University